« Very High Speed Integrated Circuit Hardware Description Language/Travail pratique/TP 3 » : différence entre les versions

Contenu supprimé Contenu ajouté
m Robot : Remplacement de texte automatisé (-\n(==={0,3})(?: *)([^\n=]+)(?: *)\1(?: *)\n +\n\1 \2 \1\n)
Ligne 46 :
{{principe|titre=Dernier rappel sur la convention de nos schémas|contenu=Tous les schémas présenteront le travail à réaliser sous forme fonctionnelle (quelles sont les entrées, quelles sont les sorties ?). Le bloc à réaliser en VHDL sera toujours coloré en bleu clair.}}
L'entité correspondant au schéma ci-dessus est donc :
<sourcesyntaxhighlight lang="VHDL">
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
Ligne 55 :
aff : out std_logic_vector(3 downto 0));
end tp2;
</syntaxhighlight>
</source>
 
PS2_Clk_M16 correspond à l'horloge [[w:Port_PS/2 |PS/2]] tandis que Clk_T9 est l'horloge globale ({{Unité|50|{{abréviation|Mhz|mégahertz}}}}) de la carte.
Ligne 72 :
 
Les composants à implanter seront donc :
<sourcesyntaxhighlight lang="VHDL">
component Counter8
port(horloge,reset : in std_logic;
Ligne 99 :
aff : out std_logic_vector(3 downto 0));
end component;
</syntaxhighlight>
</source>
Pour chacun des composants on aura à réaliser une entité et une architecture. Remarquons que l'architecture de tp1 a été réalisée dans le [[../TP 1|TP {{numéro}}1]].
 
Ligne 109 :
Voici sans autres explications la solution du TP2 :
<sourcesyntaxhighlight lang="VHDL">
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
Ligne 236 :
q <= sigq;
end adflipflop;
</syntaxhighlight>
</source>
auquel on ajoutera l'entité et l'architecture du TP1.