Very High Speed Integrated Circuit Hardware Description Language/Présentation de la leçon

VHDL est un langage de description matériel destiné à représenter le comportement et l'architecture d’un système électronique numérique. La syntaxe de base est celle utilisée par le langage Ada. Il a été commandé par le Département de la Défense des États-Unis dans le cadre de l'initiative VHSIC.

Cette description est exécutable et simulable, ce qui permet de la tester avant réalisation. En outre, les outils de CAO permettant de passer directement d'une description fonctionnelle en VHDL à un schéma en porte logique ont révolutionné les méthodes de conception des circuits numériques, ASIC ou FPGA.

La version initiale de VHDL, standard IEEE 1076-1987, incluait un large éventail de types de données, numériques (entiers, réels), logiques (bits, booléens), caractères, temps, plus les tableaux de bits et chaînes de caractères.